D-триггер

ВиД
Рядовой
Сообщения: 25
Зарегистрирован: 06.09.2015{, 07:37}
Репутация: 0

D-триггер

#1

Сообщение ВиД » 05.06.2016{, 12:44}

D-триггер как пользовательский блок не работает. Кто пробовал собирать блоки в boolean? Помогите.
Как файл .flp работает
Вложения
D--FBD-.ubi
(225.61 КБ) 82 скачивания

Аватара пользователя
support
Супермодератор
Сообщения: 1900
Зарегистрирован: 03.01.2018{, 11:45}
Репутация: 790
Откуда: Астрахань
Имя: Сергей
Контактная информация:

D-триггер

#2

Сообщение support » 05.06.2016{, 13:32}

Самый простой D - тригер - это переменная типа Boolean.

Вложения
7507745.png
Автор программы FLProg.

ВиД
Рядовой
Сообщения: 25
Зарегистрирован: 06.09.2015{, 07:37}
Репутация: 0

D-триггер

#3

Сообщение ВиД » 05.06.2016{, 14:11}

Спасибо, Сергей. А как RS-входы сюда соединить? Чтоб аналог ТМ2 получился.

Аватара пользователя
support
Супермодератор
Сообщения: 1900
Зарегистрирован: 03.01.2018{, 11:45}
Репутация: 790
Откуда: Астрахань
Имя: Сергей
Контактная информация:

D-триггер

#4

Сообщение support » 05.06.2016{, 14:40}

Думаю так

Вложения
7088992.png
Автор программы FLProg.

ВиД
Рядовой
Сообщения: 25
Зарегистрирован: 06.09.2015{, 07:37}
Репутация: 0

D-триггер

#5

Сообщение ВиД » 05.06.2016{, 18:03}

Нет, получается Q как D, промодулированный С, даже в .flp

Аватара пользователя
Sancho
Полковник
Сообщения: 4066
Зарегистрирован: 25.12.2015{, 17:32}
Репутация: 590
Откуда: Ярославль.
Имя: Александр
Контактная информация:

D-триггер

#6

Сообщение Sancho » 06.06.2016{, 10:04}

Я тоже хотел сделать нечто похожее на ТМ2, но в FLP не получилось. Пришлось в Arduino Ide доделывать - напрямую управлять переменной выхода тригера.
Делал управление выходом по кнопке и(или) modbus-у. Получилось.
PS. Определить-бы переменную выхода тригера как вход/выход - вот и ТМ2 почти...
Последний раз редактировалось Sancho 06.06.2016{, 10:08}, всего редактировалось 1 раз.
мой ник в нете и почте omelchuk890, если что. запомните на всякий. многие знают номер тлф.

Аватара пользователя
scadapack
Рядовой
Сообщения: 83
Зарегистрирован: 07.09.2015{, 05:18}
Репутация: 5
Откуда: Степногорск
Имя: Вячеслав

D-триггер

#7

Сообщение scadapack » 06.06.2016{, 10:25}

Всё должно работать, как в примере у Автора, только надо триггер одиночного импульса RTrig поставить на вход "С", иначе данные постоянно переписываются при "true" на En.

ВиД
Рядовой
Сообщения: 25
Зарегистрирован: 06.09.2015{, 07:37}
Репутация: 0

D-триггер

#8

Сообщение ВиД » 06.06.2016{, 13:51}

Нет, Q получается  как D, промодулированный укороченными импульсами С
Вложения
D-__.flp
(90.01 КБ) 85 скачиваний

ВиД
Рядовой
Сообщения: 25
Зарегистрирован: 06.09.2015{, 07:37}
Репутация: 0

D-триггер

#9

Сообщение ВиД » 06.06.2016{, 13:52}

и ещё

Аватара пользователя
Boroda
Капитан
Сообщения: 836
Зарегистрирован: 01.05.2016{, 01:04}
Репутация: 38
Откуда: Коломна
Имя: Станислав
Контактная информация:

D-триггер

#10

Сообщение Boroda » 06.06.2016{, 15:31}

Вот такую реализацию попробуйте. Работает по переднему фронту на входе С.
Вложения
Test_DRS-trig.rar
(13.51 КБ) 88 скачиваний
Последний раз редактировалось Boroda 06.06.2016{, 15:40}, всего редактировалось 1 раз.

ВиД
Рядовой
Сообщения: 25
Зарегистрирован: 06.09.2015{, 07:37}
Репутация: 0

D-триггер

#11

Сообщение ВиД » 07.06.2016{, 11:45}

Boroda писал(а):Вот такую реализацию попробуйте. Работает по переднему фронту на входе С.
Работает. Только подтягивающий резистор на S_ вход поставить. Если нетрудно, инвертируйте в самом блоке RS-входы и добавьте инверсный Q-выход, пожалуйста. Тогда у нас будет полноценный ТМ2 (7474). Блок реализован Boroda в программных кодах. А ведь Flprog инструмент для непрограммистов... 

Некоторые замечания по FlProg:
D-триггер (собранный на 3И-НЕ и как блок на СИ) правильно работает при длительности импульса на входах, не более С=0.1с и D=1с. Задержка по фронтам D и Q  40-80 миллисекунд!  
Нет возможности скопированные элементы переместить в поле редактора блока пользователя.
Распечатать проект можно только через PrintScreen.
Слово "Тригер" в библиотеке элементов.

Аватара пользователя
Boroda
Капитан
Сообщения: 836
Зарегистрирован: 01.05.2016{, 01:04}
Репутация: 38
Откуда: Коломна
Имя: Станислав
Контактная информация:

D-триггер

#12

Сообщение Boroda » 07.06.2016{, 13:04}

Судя по документации на 561ТМ2 все входы у микросхемы не инверсные.
А у 7474 (155ТМ2) R и S инверсные. Чтобы не плодить блоки, проще на входе R и S нажать правой кнопкой и выбрать "инвертировать", кстати так даже нагляднее будет на схеме смотреться.

Добавил инверсный выход к блоку.
Бонус - блок делителя частоты с К=1..255.

З.Ы. FLProg нечто более грандиозное! Вот Siemens LOGO со своим SoftComfort - инструмент для непрограммистов, там только стандартные коробочки и ничего своего толком не сделаешь.
Вложения
4404812.rar
(82.93 КБ) 88 скачиваний
Последний раз редактировалось Boroda 07.06.2016{, 17:35}, всего редактировалось 1 раз.

ВиД
Рядовой
Сообщения: 25
Зарегистрирован: 06.09.2015{, 07:37}
Репутация: 0

D-триггер

#13

Сообщение ВиД » 08.06.2016{, 11:34}

Boroda писал(а):Судя по документации на 561ТМ2 все входы у микросхемы не инверсные.А у 7474 (155ТМ2) R и S инверсные. Чтобы не плодить блоки, проще на входе R и S нажать правой кнопкой и выбрать "инвертировать", кстати так даже нагляднее будет на схеме смотреться.
Справедливо. Спасибо за помощь!
Ещё к Вам вопрос, не по теме. Есть такая задача: существует сигнал (на картинке - красный) около 40кГц, при отсутствии в нём пяти импульсов подряд появляется импульс аварии (фиолетовый). Это смоделировано в   Multisime на TTL-логике.  Для FlProg это пустячная задача, но частота! Что посоветуете?
Вложения
7245815.doc
(114.5 КБ) 86 скачиваний

Аватара пользователя
Rovki
Полковник
Сообщения: 4873
Зарегистрирован: 22.04.2016{, 17:25}
Репутация: 269
Откуда: Чехов
Имя: Анатолий
Контактная информация:

D-триггер

#14

Сообщение Rovki » 08.06.2016{, 12:11}

Что нам Сименс в ОЛ есть классический динамический Д триггер .а так же макросы и симулятор.
Электронщик до мозга костей и не только

ВиД
Рядовой
Сообщения: 25
Зарегистрирован: 06.09.2015{, 07:37}
Репутация: 0

D-триггер

#15

Сообщение ВиД » 08.06.2016{, 13:36}

Rovki писал(а):Что нам Сименс в ОЛ есть классический динамический Д триггер .а так же макросы и симулятор.
ОЛ? Поподробнее, пожалуйста.

Аватара пользователя
Rovki
Полковник
Сообщения: 4873
Зарегистрирован: 22.04.2016{, 17:25}
Репутация: 269
Откуда: Чехов
Имя: Анатолий
Контактная информация:

D-триггер

#16

Сообщение Rovki » 08.06.2016{, 14:08}

ВиД писал(а):ОЛ? Поподробнее, пожалуйста.
Овен лоджик это для ПР.Не ардуино
Электронщик до мозга костей и не только

Аватара пользователя
Boroda
Капитан
Сообщения: 836
Зарегистрирован: 01.05.2016{, 01:04}
Репутация: 38
Откуда: Коломна
Имя: Станислав
Контактная информация:

D-триггер

#17

Сообщение Boroda » 08.06.2016{, 16:32}

Rovki писал(а):Что нам Сименс
Просто на релюшках сименса собрать без проблем систему можно, а вот у Овена только голова от релюшек есть, а модулей ввода/вывода пока не выпустили. Вот наш главный инженер и сидит на немцах, в крайнем случае на китайцах xLogic, но у последних сертификата на газ нет. Более сложные шкафы на ПЛК110-60 собираем.
Последний раз редактировалось Boroda 08.06.2016{, 16:34}, всего редактировалось 1 раз.

Аватара пользователя
Rovki
Полковник
Сообщения: 4873
Зарегистрирован: 22.04.2016{, 17:25}
Репутация: 269
Откуда: Чехов
Имя: Анатолий
Контактная информация:

D-триггер

#18

Сообщение Rovki » 09.06.2016{, 20:40}

Вы ошибаетесь к ПР200 можно подключить все модули ввода вывода по RS485, а скоро будут модули расширения по внутренней шине.
Электронщик до мозга костей и не только

Аватара пользователя
Boroda
Капитан
Сообщения: 836
Зарегистрирован: 01.05.2016{, 01:04}
Репутация: 38
Откуда: Коломна
Имя: Станислав
Контактная информация:

D-триггер

#19

Сообщение Boroda » 10.06.2016{, 02:55}

Вот про внутреннюю шину и говорю. Заказчик как увидит ПР200 + МВ110 на одной рейке рядом стоящие и по щам надает. Как говорится "некрасивая система будет плохо работать".

П.С. МВ110 по 485 интегрируются в систему ОвенЛоджик или опять надо как в ПЛК в "конфигурации" регистры тыкать?
Последний раз редактировалось Boroda 10.06.2016{, 16:28}, всего редактировалось 1 раз.

Аватара пользователя
Batman55
Рядовой
Сообщения: 9
Зарегистрирован: 29.11.2015{, 09:30}
Репутация: 0
Откуда: Omsk

D-триггер

#20

Сообщение Batman55 » 12.06.2016{, 11:46}

Прочит и так и не понял, есть Д-триггер в программе или нет?
Или нужно собирать самому?

Ответить

Вернуться в «Логика»