Тестирование версии 8.х

Обсуждение ошибок, "хотелок", доработок которые будут в версии 8.х

Это мой личный форум. Здесь я буду задавать вопросы пользователям, проводить опросы, и тому подобное. Темы в этом форуме могу создавать только я, Вы можете создавать комментарии и отвечать на опросы.
Аватара пользователя
support
Супермодератор
Сообщения: 1900
Зарегистрирован: 03.01.2018{, 11:45}
Репутация: 790
Откуда: Астрахань
Имя: Сергей
Контактная информация:

Тестирование версии 8.х

#1

Сообщение support » 03.01.2021{, 20:51}

К 10-ЛЕТИЮ FLPROG.

В этом году исполняется 10 лет со дня выхода первой версии FLProg.

Для меня и ветеранов форума это является знаковой датой, важным этапом развития FLProg.

FLProg применяется в детских клубах, образовательных и научных учреждениях, инженерами, просто увлеченными людьми. С ориентацией на FLProg проектируются прикладные и универсальные контроллеры, программируемые реле (ПР), программируемые логические контроллеры (ПЛК), распределенные системы управления. Важным партнером является KaScada (г. Чехов).

Дальнейшее развитие требует создания новых организационных структур с вовлечением в неё разработчиков, программистов, конструкторов, инженеров.

Начата техническая и организационная работа по развитию форума. В переходный период организация работы форума будет осуществляться через прямое администрирование.

Благодарю всех модераторов за бесперебойную работу форума


Разработчик FLProg.


Пока тестовая версия 8.0 ещё в разработке, и думаю выйдет ещё не очень скоро, но я подумал что стоит сразу завести тему для её обсуждения. При работе над стабильной версией 7.3. у Вас возникло достаточно много идей по доработке, и большинство из них действительно актуальны. Описывайте эти пожелания в этой теме. В начале разработки новой линейки версий можно очень много "хулиганить" - время на поиск ошибок и "доводку" программы сейчас есть.

31-12-22 Вышла новая версия программы с номером 8.0.1. Подробнее можно посмотреть здесь:https://flprog.ru/news/vyhod-novoj-vers ... -flprog-2/

28-01-23 Вышла новая версия программы с номером 8.1.0. Подробнее можно посмотреть здесь:https://flprog.ru/news/vyhod-novoj-vers ... -flprog-3/

03-04-23 Вышла новая версия программы с номером 8.2.1. Подробнее можно посмотреть здесь:https://flprog.ru/news/vyhod-novoj-versii-programmy-2/
Автор программы FLProg.

ARTEMM
Рядовой
Сообщения: 37
Зарегистрирован: 05.05.2021{, 21:31}
Репутация: 0
Имя: Артём

Тестирование версии 8.х

#561

Сообщение ARTEMM » 19.04.2023{, 09:27}

извините за глупый вопрос а для чего патч _isTmer

vovka1973
Майор
Сообщения: 1060
Зарегистрирован: 14.02.2016{, 14:16}
Репутация: 42
Откуда: kazahstan

Тестирование версии 8.х

#562

Сообщение vovka1973 » 19.04.2023{, 09:30}

ARTEMM писал(а):
19.04.2023{, 09:27}
извините за глупый вопрос а для чего патч _isTmer
это для старой версии исправление для таймера
Изображение

ARTEMM
Рядовой
Сообщения: 37
Зарегистрирован: 05.05.2021{, 21:31}
Репутация: 0
Имя: Артём

Тестирование версии 8.х

#563

Сообщение ARTEMM » 19.04.2023{, 09:32}

а что именно исправляетт

vovka1973
Майор
Сообщения: 1060
Зарегистрирован: 14.02.2016{, 14:16}
Репутация: 42
Откуда: kazahstan

Тестирование версии 8.х

#564

Сообщение vovka1973 » 19.04.2023{, 09:33}

ARTEMM писал(а):
19.04.2023{, 09:32}
а что именно исправляетт
раньше таймер не работал вот и патч сделал автор вам это не надо проехали уже ,все в новой версии работает
Изображение

costy1957
Сержант
Сообщения: 252
Зарегистрирован: 05.08.2018{, 08:37}
Репутация: 44
Имя: Константин

Тестирование версии 8.х

#565

Сообщение costy1957 » 20.04.2023{, 21:49}

support, проблема с modbus TCP Slave, пользуюсь программой Virtuino IoT, версия 8.2.1 компиляция и загрузка нормально, передачи данных нет.
Версия 7.5.2 компиляция, загрузка и передача данных норма.

Аватара пользователя
Rovki
Полковник
Сообщения: 4873
Зарегистрирован: 22.04.2016{, 17:25}
Репутация: 269
Откуда: Чехов
Имя: Анатолий
Контактная информация:

Тестирование версии 8.х

#566

Сообщение Rovki » 20.04.2023{, 23:22}

costy1957 писал(а):
20.04.2023{, 21:49}
support, проблема с modbus TCP Slave, пользуюсь программой Virtuino IoT, версия 8.2.1 компиляция и загрузка нормально, передачи данных нет.
Версия 7.5.2 компиляция, загрузка и передача данных норма.
А в других приложениях нормально работает :smile37:
Электронщик до мозга костей и не только

costy1957
Сержант
Сообщения: 252
Зарегистрирован: 05.08.2018{, 08:37}
Репутация: 44
Имя: Константин

Тестирование версии 8.х

#567

Сообщение costy1957 » 21.04.2023{, 11:06}

Ошибаетесь, с другими приложениями тоже проблематично, в частности Каскада. Проверено версии 7.7.7, то же самое. :smile9:

Аватара пользователя
Rovki
Полковник
Сообщения: 4873
Зарегистрирован: 22.04.2016{, 17:25}
Репутация: 269
Откуда: Чехов
Имя: Анатолий
Контактная информация:

Тестирование версии 8.х

#568

Сообщение Rovki » 21.04.2023{, 12:17}

Да я только что отгрузил 10 модулей для пр200, они работают по модбас ТСР слейв, правда как мосты...
Электронщик до мозга костей и не только

costy1957
Сержант
Сообщения: 252
Зарегистрирован: 05.08.2018{, 08:37}
Репутация: 44
Имя: Константин

Тестирование версии 8.х

#569

Сообщение costy1957 » 21.04.2023{, 13:42}

Два теста версии 8.2.1 и 7.5.2 + проект каскада. Если у кого то заработает в версии 8.2.1 прошу указать на ошибку
Вложения
test_test_7_5_2.flp
(105.14 КБ) 11 скачиваний
test_test.flp
проект фл 8.2.1
(111.79 КБ) 15 скачиваний
test.rar
проект каскада
(2.13 КБ) 11 скачиваний

Аватара пользователя
Rovki
Полковник
Сообщения: 4873
Зарегистрирован: 22.04.2016{, 17:25}
Репутация: 269
Откуда: Чехов
Имя: Анатолий
Контактная информация:

Тестирование версии 8.х

#570

Сообщение Rovki » 21.04.2023{, 20:25}

Да, не хочет работать, к роутеру подключается ... А мост работает
Электронщик до мозга костей и не только

SerOv
Лейтенант
Сообщения: 569
Зарегистрирован: 06.01.2018{, 19:50}
Репутация: 26

Тестирование версии 8.х

#571

Сообщение SerOv » 24.04.2023{, 19:06}

Версия 821. Если создать проект. В проекте указать обновление прошивка через Arduino IDE (OTA)
через Компилировать проект. Зайти в Arduino IDE Сетевые порты. То Этого устройства нет в списках. А если снять напряжение c устройства и снова его подключить, при открытой Arduino IDE, то это устройство появляется. И нормально прошивается. После выхода из Arduino IDE и редактирования в программе Flprog. Все повторяется заново. Это наблюдается в новых версиях. в 735 все работает нормально.

SerOv
Лейтенант
Сообщения: 569
Зарегистрирован: 06.01.2018{, 19:50}
Репутация: 26

Тестирование версии 8.х

#572

Сообщение SerOv » 27.04.2023{, 11:05}

Это проявляется на ESP32

Аватара пользователя
RedSky
Лейтенант
Сообщения: 574
Зарегистрирован: 19.12.2016{, 20:07}
Репутация: 72
Откуда: Днепропетровск
Имя: Алексей

Тестирование версии 8.х

#573

Сообщение RedSky » 10.05.2023{, 15:51}

После удаления пользовательского блока из окна редактора проекта остаются хвосты и проект больше не компилируется. Как результат проект становится неработоспособным.
СпойлерПоказать
111.png
Версия 8.2.1 х64 портативная.

Отправлено спустя 26 минут 7 секунд:
Немного разобрался. Такое происходит если удаляется плата с блоком. Если предварительно удалить блоки хвостов не остаётся.
Вложения
111.zip
(1.28 МБ) 8 скачиваний
Последний раз редактировалось RedSky 11.05.2023{, 10:39}, всего редактировалось 1 раз.

WildCat
Лейтенант
Сообщения: 425
Зарегистрирован: 04.06.2022{, 04:14}
Репутация: 48
Имя: Михаил

Тестирование версии 8.х

#574

Сообщение WildCat » 10.05.2023{, 18:25}

RedSky писал(а):
10.05.2023{, 16:17}
Такое происходит если удаляется плата с блоком
У меня такое с UDP было.

Если уже удалил плату, хвосты только вручную в IDE чистить?

Вариант: добавить плату, добавить блок, удалить блок, удалить плату работает?

waswas
Рядовой
Сообщения: 44
Зарегистрирован: 04.04.2023{, 18:39}
Репутация: 2
Имя: Вася

Тестирование версии 8.х

#575

Сообщение waswas » 10.05.2023{, 22:11}

RedSky писал(а):
10.05.2023{, 16:17}
Если предварительно удалить блоки хвостов не остаётся
версия флпрог то какая?

Аватара пользователя
RedSky
Лейтенант
Сообщения: 574
Зарегистрирован: 19.12.2016{, 20:07}
Репутация: 72
Откуда: Днепропетровск
Имя: Алексей

Тестирование версии 8.х

#576

Сообщение RedSky » 11.05.2023{, 10:40}

RedSky писал(а):
10.05.2023{, 16:17}
версия флпрог то какая?
Версия 8.2.1 х64 портативная.
WildCat писал(а):
10.05.2023{, 18:25}
Вариант: добавить плату, добавить блок, удалить блок, удалить плату работает?
Не проверял.

Kot
Сержант
Сообщения: 125
Зарегистрирован: 09.10.2021{, 11:54}
Репутация: 1
Имя: Дима

Тестирование версии 8.х

#577

Сообщение Kot » 12.05.2023{, 10:27}

support, немогу найти на плате сериал 0 у STM32F103C8T6
2023-05-12_142345.png
по даташиту его там вообще нету ибо у него всего 3 уарта
если брать серию STM32F103CBT6 то там их да 4 уарта но опять не понятно где он потомучто поиск по распиновке говорит что их 3 на плате
или он не числится на пинах а находится где то внутри для себя на вывод через какуюто перефирию ?

ecoins
Полковник
Сообщения: 2930
Зарегистрирован: 12.02.2016{, 11:40}
Репутация: 453
Откуда: Шатура
Имя: Энвер

Тестирование версии 8.х

#578

Сообщение ecoins » 12.05.2023{, 21:02}

Kot писал(а):
12.05.2023{, 10:27}
по даташиту его там вообще нету ибо у него всего 3 уарта
если брать серию STM32F103CBT6 то там их да 4 уарта но опять не понятно где он потомучто поиск по распиновке говорит что их 3 на плате
или он не числится на пинах а находится где то внутри для себя на вывод через какуюто перефирию ?
UART0- это USB.
+Serial1,Serial2,Serial3.

Kot
Сержант
Сообщения: 125
Зарегистрирован: 09.10.2021{, 11:54}
Репутация: 1
Имя: Дима

Тестирование версии 8.х

#579

Сообщение Kot » 14.05.2023{, 15:44}

support, где то у вас ошибка с модбасом в плане CRC
в справке сказано что при ошибке будет импульс в длину цыкла а на деле он не прерывно выдаёт его на выходе блока
2023-05-14_193544.png
2023-05-14_193544.png (3.17 КБ) 2063 просмотра
у меня сплошная прямая на его выходе и видимо поэтому я не могу принять данные со слейва что возможно он блочит их
2023-05-14_193832.png
2023-05-14_193832.png (5.23 КБ) 2063 просмотра
я убил более 8 часов более 100 вариацый скейча и так ничего не работает
уже откатился к самому простому передать ну хотябы один вшывый регистр
ардуино модбас.flp
(157.63 КБ) 11 скачиваний
есп модбас.flp
(146.21 КБ) 8 скачиваний
Отправлено спустя 2 минуты 16 секунд:
в какой очень долгий момент ожидания он считывает данные и опять наступает долгое движение ошибок
2023-05-14_194520.png
Отправлено спустя 45 минут 22 секунды:
в версии 7,3,8 тоже ничего не работает но там блок ошибок по крайней мере выдаёт импульсы
2023-05-14_203128.png
2023-05-14_203128.png (9.38 КБ) 2050 просмотров
Отправлено спустя 1 минуту 8 секунд:
но вместо 255 выдаёт 254
2023-05-14_203238.png

Аватара пользователя
RedSky
Лейтенант
Сообщения: 574
Зарегистрирован: 19.12.2016{, 20:07}
Репутация: 72
Откуда: Днепропетровск
Имя: Алексей

Тестирование версии 8.х

#580

Сообщение RedSky » 14.05.2023{, 18:37}

Не компилируется проект на Attiny85. В 7.5.2 компиляция проходит без ошибок.
Так же библиотека #include "flprogUtilites.h" подключается даже если не используется в проекте, что приводит к увеличению размера скетча.

Те же самые проекты в 8й версии имеют больший размер после компиляции.
Последний раз редактировалось RedSky 16.05.2023{, 13:44}, всего редактировалось 1 раз.

Ответить

Вернуться в «Темы от автора»