Тестирование версии 8.х

Обсуждение ошибок, "хотелок", доработок которые будут в версии 8.х

Это мой личный форум. Здесь я буду задавать вопросы пользователям, проводить опросы, и тому подобное. Темы в этом форуме могу создавать только я, Вы можете создавать комментарии и отвечать на опросы.
Аватара пользователя
support
Супермодератор
Сообщения: 1900
Зарегистрирован: 03.01.2018{, 11:45}
Репутация: 789
Откуда: Астрахань
Имя: Сергей
Контактная информация:

Тестирование версии 8.х

#1

Сообщение support » 03.01.2021{, 20:51}

К 10-ЛЕТИЮ FLPROG.

В этом году исполняется 10 лет со дня выхода первой версии FLProg.

Для меня и ветеранов форума это является знаковой датой, важным этапом развития FLProg.

FLProg применяется в детских клубах, образовательных и научных учреждениях, инженерами, просто увлеченными людьми. С ориентацией на FLProg проектируются прикладные и универсальные контроллеры, программируемые реле (ПР), программируемые логические контроллеры (ПЛК), распределенные системы управления. Важным партнером является KaScada (г. Чехов).

Дальнейшее развитие требует создания новых организационных структур с вовлечением в неё разработчиков, программистов, конструкторов, инженеров.

Начата техническая и организационная работа по развитию форума. В переходный период организация работы форума будет осуществляться через прямое администрирование.

Благодарю всех модераторов за бесперебойную работу форума


Разработчик FLProg.


Пока тестовая версия 8.0 ещё в разработке, и думаю выйдет ещё не очень скоро, но я подумал что стоит сразу завести тему для её обсуждения. При работе над стабильной версией 7.3. у Вас возникло достаточно много идей по доработке, и большинство из них действительно актуальны. Описывайте эти пожелания в этой теме. В начале разработки новой линейки версий можно очень много "хулиганить" - время на поиск ошибок и "доводку" программы сейчас есть.

31-12-22 Вышла новая версия программы с номером 8.0.1. Подробнее можно посмотреть здесь:https://flprog.ru/news/vyhod-novoj-vers ... -flprog-2/

28-01-23 Вышла новая версия программы с номером 8.1.0. Подробнее можно посмотреть здесь:https://flprog.ru/news/vyhod-novoj-vers ... -flprog-3/

03-04-23 Вышла новая версия программы с номером 8.2.1. Подробнее можно посмотреть здесь:https://flprog.ru/news/vyhod-novoj-versii-programmy-2/
Автор программы FLProg.

НовыйUser
Сержант
Сообщения: 154
Зарегистрирован: 26.11.2015{, 22:05}
Репутация: 8

Тестирование версии 8.х

#361

Сообщение НовыйUser » 24.01.2023{, 08:42}

support

похоже пару моментов нашлось

не работает отключение плат с переменной (при этом блок в плате ей же отключается одновременно )

и

нсли задать название переменной на вход webserver'у для w5100 тем же названием что м вход, то в html не встраивается она

возможно был глюк, проверю еще, но обратил внисание на это.

vovka1973
Майор
Сообщения: 1060
Зарегистрирован: 14.02.2016{, 14:16}
Репутация: 42
Откуда: kazahstan

Тестирование версии 8.х

#362

Сообщение vovka1973 » 24.01.2023{, 09:00}

ecoins писал(а):
22.01.2023{, 17:34}
В стандартном блоке используется стандартная библиотека, которая хорошо демонстрирует функциональные возможности MCP23017 (побитовый ввод/вывод), но не очень пригодна для более сложных применений
блок MCP23017 у меня так и не заработал в простом проекте ,вернее работал только один раз потом глухо тишина ну не проходил сигнал ,делал прозвонку кабелей на расстоянии сетей вай фай очень удобно. А ваш блок работает как часики спасибо еще раз за блок .
Изображение

ecoins
Полковник
Сообщения: 2924
Зарегистрирован: 12.02.2016{, 11:40}
Репутация: 453
Откуда: Шатура
Имя: Энвер

Тестирование версии 8.х

#363

Сообщение ecoins » 24.01.2023{, 11:43}

vovka1973 писал(а):
24.01.2023{, 09:00}
А ваш блок работает как часики спасибо еще раз за блок .
Хорошо. Но похоже этому не дешёвому чипу есть неплохая альтернатива (спасибо JonyBest за "наводку") - PCF8575 (8x2). На вывод работает, на ввод никак времени не выделим потестировать, но работать должен.

НовыйUser
Сержант
Сообщения: 154
Зарегистрирован: 26.11.2015{, 22:05}
Репутация: 8

Тестирование версии 8.х

#364

Сообщение НовыйUser » 25.01.2023{, 18:35}

support,
+ в счетчике не работает пункт дополнительно. считает при превышении стандартных по умолчанию значений в минус (-) даже когда активирована опция

costy1957
Сержант
Сообщения: 252
Зарегистрирован: 05.08.2018{, 08:37}
Репутация: 44
Имя: Константин

Тестирование версии 8.х

#365

Сообщение costy1957 » 27.01.2023{, 13:04}

Уважаемый Сергей, есть проблема с esp32, в версии 7.5.2 нормальная компиляция, в версии 8.02 - ошибка, при этом такой же проект на 8266 компилируется в обеих версиях.
Вложения
esp32.flp
(1011.63 КБ) 29 скачиваний

vovka1973
Майор
Сообщения: 1060
Зарегистрирован: 14.02.2016{, 14:16}
Репутация: 42
Откуда: kazahstan

Тестирование версии 8.х

#366

Сообщение vovka1973 » 28.01.2023{, 05:09}

У кого-нибудь заработал модбас тср в 8.0.2 ? у меня в 7.5.2 работает проект .точно такой же делаю с нуля в 8.0.2 даже компилироваться не хочет.
Изображение

aidar_i
Полковник
Сообщения: 3122
Зарегистрирован: 24.12.2016{, 16:55}
Репутация: 674
Откуда: Уфа
Имя: Айдар
Контактная информация:

Тестирование версии 8.х

#367

Сообщение aidar_i » 28.01.2023{, 13:36}

support, в следующей версии программы, сделайте, пожалуйста, в обоих редакторах ПБ, чтобы очерёдность расположения кода в сетап, не зависела от порядка вытаскивания блоков, а зависела от расположения блоков в проекте, аналогично loop, или , чтобы можно настраивать.

НовыйUser
Сержант
Сообщения: 154
Зарегистрирован: 26.11.2015{, 22:05}
Репутация: 8

Тестирование версии 8.х

#368

Сообщение НовыйUser » 28.01.2023{, 18:30}

support,

блок вебсервера посылает команду обновления страницы (в настройках) даже в случае если стоит EN - FALSE и даже при отключении платы целиком

artemka19
Лейтенант
Сообщения: 540
Зарегистрирован: 03.09.2020{, 15:41}
Репутация: 216
Откуда: Питер
Имя: Артем

Тестирование версии 8.х

#369

Сообщение artemka19 » 28.01.2023{, 19:13}

НовыйUser писал(а):
28.01.2023{, 18:30}
блок вебсервера
а зачем его использовать?
есть же штатный веб-интерфейс)

fsergei70
Капитан
Сообщения: 786
Зарегистрирован: 17.11.2015{, 20:36}
Репутация: 54
Имя: Сергей

Тестирование версии 8.х

#370

Сообщение fsergei70 » 28.01.2023{, 21:46}

вышла новая версия программы 8.1.0

Аватара пользователя
support
Супермодератор
Сообщения: 1900
Зарегистрирован: 03.01.2018{, 11:45}
Репутация: 789
Откуда: Астрахань
Имя: Сергей
Контактная информация:

Тестирование версии 8.х

#371

Сообщение support » 28.01.2023{, 21:58}

Вышла новая версия программы с номером 8.1.0. Подробнее можно посмотреть здесь:https://flprog.ru/news/vyhod-novoj-vers ... -flprog-3/
Автор программы FLProg.

НовыйUser
Сержант
Сообщения: 154
Зарегистрирован: 26.11.2015{, 22:05}
Репутация: 8

Тестирование версии 8.х

#372

Сообщение НовыйUser » 29.01.2023{, 08:52}

artemka19 писал(а):
28.01.2023{, 19:13}
а зачем его использовать?
есть же штатный веб-интерфейс)
СпойлерПоказать
используется плата w5100

Kot
Сержант
Сообщения: 125
Зарегистрирован: 09.10.2021{, 11:54}
Репутация: 1
Имя: Дима

Тестирование версии 8.х

#373

Сообщение Kot » 29.01.2023{, 13:12}

support, что то не так
2023-01-29_170628.png
дс.flp
(244.17 КБ) 20 скачиваний

Аватара пользователя
Dryundel
Полковник
Сообщения: 2401
Зарегистрирован: 22.05.2017{, 23:15}
Репутация: 783
Откуда: Ярославль
Имя: Андрей
Контактная информация:

Тестирование версии 8.х

#374

Сообщение Dryundel » 29.01.2023{, 14:01}

Kot писал(а):
29.01.2023{, 13:12}
что то не так
ПБ ds18b20 не будет работать с ESP32 - проблема с библиотекой OneWire

ecoins
Полковник
Сообщения: 2924
Зарегистрирован: 12.02.2016{, 11:40}
Репутация: 453
Откуда: Шатура
Имя: Энвер

Тестирование версии 8.х

#375

Сообщение ecoins » 29.01.2023{, 14:51}

Kot писал(а):
29.01.2023{, 13:12}
support, что то не так
Прежние блоки DS1820 работают со старыми версиями CORE ESP32, новые версии CORE ESP32 - как это может и не казаться странным - более медленно работают с пинами, даже через прямой доступ к регистрам. Требуются дополнительные "уловки".
--------------
Блоки ecoins DS1820 хорошо работают и с новым ядром. Попробуйте.

chmak2007
Сержант
Сообщения: 151
Зарегистрирован: 06.09.2015{, 13:28}
Репутация: 0
Откуда: Саров

Тестирование версии 8.х

#376

Сообщение chmak2007 » 29.01.2023{, 15:47}

СпойлерПоказать
Arduino: 1.8.19 (Windows 10), Плата:"Generic STM32F1 series, BluePill F103C8, Maple DFU Bootloader 2.0, Enabled (generic 'Serial'), CDC (generic 'Serial' supersede U(S)ART), Low/Full Speed, Smallest (-Os default), None, Newlib Nano (default)"

c:/program files (x86)/flprog/idev8/portable/packages/stmicroelectronics/tools/xpack-arm-none-eabi-gcc/10.3.1-2.3/bin/../lib/gcc/arm-none-eabi/10.3.1/../../../../arm-none-eabi/bin/ld.exe: sketch\objs.a(pr6.ino.cpp.o): in function `_GLOBAL__sub_I_flprogSerial2':

pr6.ino.cpp:(.text.startup._GLOBAL__sub_I_flprogSerial2+0xd8): undefined reference to `Serial2'

collect2.exe: error: ld returned 1 exit status

Несколько библиотек найдено для "SoftwareSerial.h"

Используется: C:\Program Files (x86)\FLProg\ideV8\portable\packages\STMicroelectronics\hardware\stm32\2.4.0\libraries\SoftwareSerial

Не используется: c:\Program Files (x86)\FLProg\ideV8\portable\sketchbook\libraries\Esp32-SoftwareSerial

Не используется: c:\Program Files (x86)\FLProg\ideV8\portable\sketchbook\libraries\SoftwareSerial

Несколько библиотек найдено для "Ethernet.h"

Используется: c:\Program Files (x86)\FLProg\ideV8\portable\sketchbook\libraries\Ethernet

Не используется: c:\Program Files (x86)\FLProg\ideV8\libraries\Ethernet

Несколько библиотек найдено для "SPI.h"

Используется: C:\Program Files (x86)\FLProg\ideV8\portable\packages\STMicroelectronics\hardware\stm32\2.4.0\libraries\SPI

Не используется: c:\Program Files (x86)\FLProg\ideV8\portable\sketchbook\libraries\SPI

Несколько библиотек найдено для "Wire.h"

Используется: C:\Program Files (x86)\FLProg\ideV8\portable\packages\STMicroelectronics\hardware\stm32\2.4.0\libraries\Wire

Не используется: c:\Program Files (x86)\FLProg\ideV8\portable\sketchbook\libraries\Wire

exit status 1

Ошибка компиляции для платы Generic STM32F1 series.
Когда выбираешь Serial0, Serial1, Serial2, Serial3 в настройках modbus выходит ошибка

Stranger
Рядовой
Сообщения: 48
Зарегистрирован: 08.02.2021{, 08:35}
Репутация: 11
Имя: Дмитрий

Тестирование версии 8.х

#377

Сообщение Stranger » 29.01.2023{, 17:00}

Актуально для версии 8.1.0
Вот такая вот ошибка выскакивает при компиляции. На компиляцию не влияет, работает. В версии 8.0.0 этого не было.

Exception in thread "AWT-EventQueue-0" Exception in thread "Thread-14" java.lang.NullPointerException

При установке блока нессимитричного генератора с одним внешним входом для паузы нет компиляции с ошибкой:
C:\Users\User\AppData\Local\Temp\flprog\pr6\pr6.ino: In function 'void loop()':
pr6:1289:383: error: '_isTimer' was not declared in this scope
if (( (_Terminal_219496836) && ((_Terminal_35616483) <= (_Terminal_37642468)) && (!(_tim4O)) )) {if (! _gen14I) { _gen14I = 1; _gen14O = 1; _gen14P = millis(); } } else { _gen14I = 0 ; _gen14O= 0; } if (_gen14I ) { if (_gen14O) { if ( flprog::isTimer( _gen14P , 500 )) { _gen14P = millis(); _gen14O = 0; } } else { if ( _isTimer( _gen14P , ((_menuValueArray_int[11]))*(60000L) )) { _gen14P = millis(); _gen14O = 1; } } }

Аватара пользователя
Dryundel
Полковник
Сообщения: 2401
Зарегистрирован: 22.05.2017{, 23:15}
Репутация: 783
Откуда: Ярославль
Имя: Андрей
Контактная информация:

Тестирование версии 8.х

#378

Сообщение Dryundel » 29.01.2023{, 17:27}

Stranger писал(а):
29.01.2023{, 17:00}
Вот такая вот ошибка выскакивает при компиляции. На компиляцию не влияет, работает. В версии 8.0.0 этого не было.
В данном случае это небольшая ошибка в коде. Не видя проект, нельзя сказать в каком блоке.
Для устранения ошибки компиляции попробуйте в IDE удалить нижнее подчеркивание здесь:
(Найдите этот код в скетче)

if (( (_Terminal_219496836) && ((_Terminal_35616483) <= (_Terminal_37642468)) && (!(_tim4O)) )) {if (! _gen14I) { _gen14I = 1; _gen14O = 1; _gen14P = millis(); } } else { _gen14I = 0 ; _gen14O= 0; } if (_gen14I ) { if (_gen14O) { if ( flprog::isTimer( _gen14P , 500 )) { _gen14P = millis(); _gen14O = 0; } } else { if ( _isTimer( _gen14P , ((_menuValueArray_int[11]))*(60000L) )) { _gen14P = millis(); _gen14O = 1; } } }

или добавьте нижнее подчеркивание здесь:

if (( (_Terminal_219496836) && ((_Terminal_35616483) <= (_Terminal_37642468)) && (!(_tim4O)) )) {if (! _gen14I) { _gen14I = 1; _gen14O = 1; _gen14P = millis(); } } else { _gen14I = 0 ; _gen14O= 0; } if (_gen14I ) { if (_gen14O) { if ( flprog::isTimer( _gen14P , 500 )) { _gen14P = millis(); _gen14O = 0; } } else { if ( _isTimer( _gen14P , ((_menuValueArray_int[11]))*(60000L) )) { _gen14P = millis(); _gen14O = 1; } } }

Stranger
Рядовой
Сообщения: 48
Зарегистрирован: 08.02.2021{, 08:35}
Репутация: 11
Имя: Дмитрий

Тестирование версии 8.х

#379

Сообщение Stranger » 29.01.2023{, 18:13}

Вот сбросил файлик, кусочек вырезан из проекта, секрета нет, просто чтобы 90 мб не заливать. Значения переменных и клемм от балы, но Ошибка таже
Вложения
123.flp
(247.97 КБ) 17 скачиваний

Naladchik
Капитан
Сообщения: 823
Зарегистрирован: 04.10.2015{, 19:10}
Репутация: 151
Откуда: Новосибирск
Имя: Павел

Тестирование версии 8.х

#380

Сообщение Naladchik » 29.01.2023{, 19:13}

Dryundel писал(а):
29.01.2023{, 17:27}
...В данном случае это небольшая ошибка в коде... попробуйте в IDE удалить нижнее подчеркивание здесь...
Не прокатит.
По всей видимости Сергей убрал функцию _isTimer из самого скетча и создал функцию isTimer в своей библиотеке flprogUtilites (через "пространство имен" flprog).
Но при этом не все обращения к старой функции в блоках заменил на обращения к новой.
Для устранения этой ошибки надо в IDE заменить < _isTimer > на < flprog::isTimer >.
Какой именно блок косячит еще не смотрел.
Последний раз редактировалось Naladchik 02.02.2023{, 09:02}, всего редактировалось 1 раз.
Win10-64. FLProg Portable.
Изображение

Ответить

Вернуться в «Темы от автора»