Страница 1 из 1

Цифровой вход из аналогового

Добавлено: 20.02.2022{, 00:20}
com
Случилось у меня такое недоразумение, кончились свободные входы, остались только аналоговые А6 и А7, а программа не дает перевести их в цифровые входа, по быстрому накидал блок, возможно не оптимальное решение, но работает
Конвертер_(FBD).ubi
(147.51 КБ) 64 скачивания

Цифровой вход из аналогового

Добавлено: 20.02.2022{, 09:12}
Dryundel
com писал(а):
20.02.2022{, 00:20}
Случилось у меня такое недоразумение, кончились свободные входы, остались только аналоговые А6 и А7, а программа не дает перевести их в цифровые входа...
Ну то, что программа не дает перевести, это нормально. Данные входы поддерживают только аналоговый режим.
А что мешало подключить на аналоговый вход несколько кнопок через делитель? Ведь судя по дебонсу, это именно кнопки.
А до 5 кнопок легко отследить даже совместное их нажатие. Здесь обсуждалось.

Цифровой вход из аналогового

Добавлено: 20.02.2022{, 10:28}
com
Dryundel, это не кнопки. Пины используются в качестве дискретных для плк, при проектировании не учел, что не все аналоговые входа можно перевести в цифровые.

Цифровой вход из аналогового

Добавлено: 20.02.2022{, 11:13}
ingener
Поставьте компаратор > 512, к примеру, и получится цифровой вход.
Правда, вопрос быстродействия.

Цифровой вход из аналогового

Добавлено: 20.02.2022{, 11:29}
Dryundel
com писал(а):
20.02.2022{, 10:28}
это не кнопки. Пины используются в качестве дискретных для плк
Ну тогда еще проще для одного дискретного на аналоговый. Там же не может быть неопределенного логического уровня, да и дребезга тоже.
Типа так:
Аналог=Цифра.jpg
Аналог=Цифра.jpg (6.93 КБ) 896 просмотров
Отправлено спустя 2 минуты 13 секунд:
ingener писал(а):
20.02.2022{, 11:13}
Поставьте компаратор > 512, к примеру, и получится цифровой вход.
Правда, вопрос быстродействия.
Не увидел ответа.
ingener, если с тем алгоритмом работает, то с одним компаратором и подавно будет.

Цифровой вход из аналогового

Добавлено: 20.02.2022{, 12:03}
com
Dryundel, Так я и писал, что решение возможно не оптимальное, а кроме всего прочего, на входе стоит подтягивающий резистор, поэтому поставил блок масштабирования для инвертирования. Наверно перемудрил со схемой, в 4 ночи (или утра?) делал, что хотело сгореть, то сгорело :D . А блок этот так, как возможное решение, подумать, потренироваться, все равно модуль буду другой заказывать, с учетом найденных ошибок

Цифровой вход из аналогового

Добавлено: 20.02.2022{, 12:45}
Dryundel
com писал(а):
20.02.2022{, 12:03}
а кроме всего прочего, на входе стоит подтягивающий резистор, поэтому поставил блок масштабирования для инвертирования.
Ну пусть стоит. Чему он мешает? Если выход с ПЛК это теле, то резистор просто необходим, и антидребег (блок Bounce) тоже.
Инвертировать можно и выход компаратора, при необходимости.