integer в float

integer в float и на оборот

Ответить
val2719
Рядовой
Сообщения: 14
Зарегистрирован: 07.02.2017{, 17:40}
Репутация: 0

integer в float

#1

Сообщение val2719 » 19.01.2019{, 14:46}

всем привет!
мне отдали панель оператора СП270 подключил к ардуинке половина сам разобрался а float не могу передать
помогите мне разобраться как float переделать в целое число чтобы подать по modbus integer на панель и на оборот

Аватара пользователя
Alias
Лейтенант
Сообщения: 481
Зарегистрирован: 27.11.2017{, 13:15}
Репутация: 36
Откуда: Rus44
Имя: Michael
Контактная информация:

integer в float

#2

Сообщение Alias » 19.01.2019{, 14:57}

Есть же целый блок в конвертации типов. Обратно, думаю, можно обычным умножением на единицу во флоате

val2719
Рядовой
Сообщения: 14
Зарегистрирован: 07.02.2017{, 17:40}
Репутация: 0

integer в float

#3

Сообщение val2719 » 19.01.2019{, 15:03}

не подходит такой вариант мне надо точку убрать к примеру есть 25.78 мне надо 2578

Аватара пользователя
Alias
Лейтенант
Сообщения: 481
Зарегистрирован: 27.11.2017{, 13:15}
Репутация: 36
Откуда: Rus44
Имя: Michael
Контактная информация:

integer в float

#4

Сообщение Alias » 19.01.2019{, 15:27}

Хотелось бы понять суть вопроса, ибо правильно поставленный вопрос есть половина ответа.
Если я правильно понял, в данном случае можно умножить исходное число флоат на сто и преобразовать в инт.
Обратно - как предложено выше.

val2719
Рядовой
Сообщения: 14
Зарегистрирован: 07.02.2017{, 17:40}
Репутация: 0

integer в float

#5

Сообщение val2719 » 19.01.2019{, 16:46}

спасибо за помощь разобрался

Ответить

Вернуться в «Помогите, а то я "нимагу"»