Тестирование версии 8.х

Обсуждение ошибок, "хотелок", доработок которые будут в версии 8.х

Это мой личный форум. Здесь я буду задавать вопросы пользователям, проводить опросы, и тому подобное. Темы в этом форуме могу создавать только я, Вы можете создавать комментарии и отвечать на опросы.
Аватара пользователя
support
Супермодератор
Сообщения: 1900
Зарегистрирован: 03.01.2018{, 11:45}
Репутация: 790
Откуда: Астрахань
Имя: Сергей
Контактная информация:

Тестирование версии 8.х

#1

Сообщение support » 03.01.2021{, 20:51}

К 10-ЛЕТИЮ FLPROG.

В этом году исполняется 10 лет со дня выхода первой версии FLProg.

Для меня и ветеранов форума это является знаковой датой, важным этапом развития FLProg.

FLProg применяется в детских клубах, образовательных и научных учреждениях, инженерами, просто увлеченными людьми. С ориентацией на FLProg проектируются прикладные и универсальные контроллеры, программируемые реле (ПР), программируемые логические контроллеры (ПЛК), распределенные системы управления. Важным партнером является KaScada (г. Чехов).

Дальнейшее развитие требует создания новых организационных структур с вовлечением в неё разработчиков, программистов, конструкторов, инженеров.

Начата техническая и организационная работа по развитию форума. В переходный период организация работы форума будет осуществляться через прямое администрирование.

Благодарю всех модераторов за бесперебойную работу форума


Разработчик FLProg.


Пока тестовая версия 8.0 ещё в разработке, и думаю выйдет ещё не очень скоро, но я подумал что стоит сразу завести тему для её обсуждения. При работе над стабильной версией 7.3. у Вас возникло достаточно много идей по доработке, и большинство из них действительно актуальны. Описывайте эти пожелания в этой теме. В начале разработки новой линейки версий можно очень много "хулиганить" - время на поиск ошибок и "доводку" программы сейчас есть.

31-12-22 Вышла новая версия программы с номером 8.0.1. Подробнее можно посмотреть здесь:https://flprog.ru/news/vyhod-novoj-vers ... -flprog-2/

28-01-23 Вышла новая версия программы с номером 8.1.0. Подробнее можно посмотреть здесь:https://flprog.ru/news/vyhod-novoj-vers ... -flprog-3/

03-04-23 Вышла новая версия программы с номером 8.2.1. Подробнее можно посмотреть здесь:https://flprog.ru/news/vyhod-novoj-versii-programmy-2/
Автор программы FLProg.

ecoins
Полковник
Сообщения: 2932
Зарегистрирован: 12.02.2016{, 11:40}
Репутация: 453
Откуда: Шатура
Имя: Энвер

Тестирование версии 8.х

#381

Сообщение ecoins » 29.01.2023{, 19:28}

Naladchik писал(а):
29.01.2023{, 19:13}
По всей видимости Сергей убрал функцию _isTimer из самого скетча и создал функцию isTimer в своей библиотеке flprogUtilites (через "пространство имен" flprog).
Но при этом не все обращения к старой функции в блоках заменил на обращения к новой.
Для устранения этой ошибки надо в IDE заменить _isTimer на flprog::isTimer
Какой именно блок косячит еще не смотрел.
Подтверждаю, так и есть.

Аватара пользователя
Dryundel
Полковник
Сообщения: 2401
Зарегистрирован: 22.05.2017{, 23:15}
Репутация: 783
Откуда: Ярославль
Имя: Андрей
Контактная информация:

Тестирование версии 8.х

#382

Сообщение Dryundel » 29.01.2023{, 19:41}

Naladchik писал(а):
29.01.2023{, 19:13}
Какой именно блок косячит еще не смотрел.
Косячит блок "Генератор"

Отправлено спустя 3 минуты 44 секунды:
support, в блоке "Generator" косяк с функцией _isTimer(). FLProg 8.1.0

Naladchik
Капитан
Сообщения: 823
Зарегистрирован: 04.10.2015{, 19:10}
Репутация: 153
Откуда: Новосибирск
Имя: Павел

Тестирование версии 8.х

#383

Сообщение Naladchik » 29.01.2023{, 19:50}

Dryundel писал(а):
29.01.2023{, 19:45}
Косячит блок "Генератор"
Причем только в режиме "Несимметричный мультивибратор". Косяк в измерении паузы.
Win10-64. FLProg Portable.
Изображение

Аватара пользователя
Dryundel
Полковник
Сообщения: 2401
Зарегистрирован: 22.05.2017{, 23:15}
Репутация: 783
Откуда: Ярославль
Имя: Андрей
Контактная информация:

Тестирование версии 8.х

#384

Сообщение Dryundel » 29.01.2023{, 19:51}

Stranger, вернитесь пока к предыдущей версии программы, до выхода исправленного релиза.

vovka1973
Майор
Сообщения: 1060
Зарегистрирован: 14.02.2016{, 14:16}
Репутация: 42
Откуда: kazahstan

Тестирование версии 8.х

#385

Сообщение vovka1973 » 31.01.2023{, 14:41}

Версия 8.1.0 не работает веб интерфейс встроенный компиляция не проходит ,проект пустой просто создал сеть и включил веб интерфейс .
support в версии 8.0.2 работает веб сервер.
Изображение

Fuksas
Рядовой
Сообщения: 16
Зарегистрирован: 12.06.2017{, 23:13}
Репутация: 0

Тестирование версии 8.х

#386

Сообщение Fuksas » 31.01.2023{, 17:59}

vovka1973,
image.jpg

Разработано в программе <a href=\"https://flprog.ru\">FLProg</a>

vovka1973
Майор
Сообщения: 1060
Зарегистрирован: 14.02.2016{, 14:16}
Репутация: 42
Откуда: kazahstan

Тестирование версии 8.х

#387

Сообщение vovka1973 » 31.01.2023{, 18:12}

Fuksas писал(а):
31.01.2023{, 17:59}
vovka1973,image.jpg
Разработано в программе <a href=\"https://flprog.ru\">FLProg</a>
спасибо уже нашел путем сравнивания кода с версией 8,0,2
Изображение

Аватара пользователя
jonik22sm
Капитан
Сообщения: 703
Зарегистрирован: 20.11.2015{, 11:28}
Репутация: 6
Откуда: Краснодар
Имя: Евгений
Контактная информация:

Тестирование версии 8.х

#388

Сообщение jonik22sm » 01.02.2023{, 20:06}

Всем привет! Друзья как подружить esp32 и max6675? почему для ардуино блок есть во встроенных , а для esp нету его?
Можно сделать ВСЁ. Было бы желание.

vovka1973
Майор
Сообщения: 1060
Зарегистрирован: 14.02.2016{, 14:16}
Репутация: 42
Откуда: kazahstan

Тестирование версии 8.х

#389

Сообщение vovka1973 » 01.02.2023{, 20:41}

jonik22sm писал(а):
01.02.2023{, 20:06}
Всем привет! Друзья как подружить esp32 и max6675? почему для ардуино блок есть во встроенных , а для esp нету его?
тут
Изображение

SerOv
Лейтенант
Сообщения: 570
Зарегистрирован: 06.01.2018{, 19:50}
Репутация: 26

Тестирование версии 8.х

#390

Сообщение SerOv » 01.02.2023{, 20:52}

Вот такая ошибка в версии 810. В проекте только один блок генератора а в версии 802 этой ошибки нет
И проявляется при настройках генератора только как несимметричный.
СпойлерПоказать
capture_004_01022023_203545.jpg
capture_005_01022023_204422.jpg

ecoins
Полковник
Сообщения: 2932
Зарегистрирован: 12.02.2016{, 11:40}
Репутация: 453
Откуда: Шатура
Имя: Энвер

Тестирование версии 8.х

#391

Сообщение ecoins » 01.02.2023{, 21:05}

jonik22sm писал(а):
01.02.2023{, 20:06}
Всем привет! Друзья как подружить esp32 и max6675? почему для ардуино блок есть во встроенных , а для esp нету его?
Из ecoins блок MAX6675 работает и с ESP32.

vovka1973
Майор
Сообщения: 1060
Зарегистрирован: 14.02.2016{, 14:16}
Репутация: 42
Откуда: kazahstan

Тестирование версии 8.х

#392

Сообщение vovka1973 » 02.02.2023{, 07:46}

спасибо понял
Последний раз редактировалось vovka1973 02.02.2023{, 09:06}, всего редактировалось 2 раза.
Изображение

Naladchik
Капитан
Сообщения: 823
Зарегистрирован: 04.10.2015{, 19:10}
Репутация: 153
Откуда: Новосибирск
Имя: Павел

Тестирование версии 8.х

#393

Сообщение Naladchik » 02.02.2023{, 09:00}

SerOv писал(а):
01.02.2023{, 20:52}
Вот такая ошибка в версии 810...
решение
vovka1973 писал(а):
02.02.2023{, 07:46}
...если их добавить...
правильный вариант см выше.
Win10-64. FLProg Portable.
Изображение

НовыйUser
Сержант
Сообщения: 154
Зарегистрирован: 26.11.2015{, 22:05}
Репутация: 8

Тестирование версии 8.х

#394

Сообщение НовыйUser » 02.02.2023{, 17:40}

НовыйUser писал(а):
10.12.2022{, 20:28}
спасибо. если появиться время и возможность протестировать связку 2560+w5100 шилд , взгляните пожалуйста их работу. Веб сервера на всех моих подобных сборках рандомно то появляется, то исчезает из сети вплоть до отсутствия видимости со стороны роутера. С какой версии это началось сказать трудно. Ранее работало все замечательно.
bsn,
support,

решение найдено

блок передачи переменной. отправка на роутер внутренней сети по 80 порту на главную страницу "в пустоту" с импульсом в пару секунд и паузой 5 минут.
после некоторого часов без обращения к вебсерверу с браузера вебсервер словно засыпает как и ранее, но стоит к нему обратиться, то секунд через 10 страница вебсервера отображаться начинает постоянно и без каких либо проблем, чего раньше не было...

с чем связано пока не понятно, но такое решение вполне рабочим оказалось...

Аватара пользователя
bsn
Лейтенант
Сообщения: 347
Зарегистрирован: 22.07.2018{, 07:24}
Репутация: 90
Откуда: Ростовская обл.
Имя: Сергей

Тестирование версии 8.х

#395

Сообщение bsn » 02.02.2023{, 19:27}

НовыйUser писал(а):
02.02.2023{, 17:40}
блок передачи переменной. отправка на роутер внутренней сети по 80 порту на главную страницу "в пустоту" с импульсом в пару секунд и паузой 5 минут.
Хорошо.
И, если можно пожалуйста, выложите файлик flp. С рабочим примером. Все, кто пожелают, смогут потренироваться.

vovka1973
Майор
Сообщения: 1060
Зарегистрирован: 14.02.2016{, 14:16}
Репутация: 42
Откуда: kazahstan

Тестирование версии 8.х

#396

Сообщение vovka1973 » 04.02.2023{, 10:24}

у кого-нибудь работает встроенный счетчик ? версия 8.1.0
Изображение

Аватара пользователя
DrMario
Лейтенант
Сообщения: 371
Зарегистрирован: 07.11.2016{, 13:11}
Репутация: 58
Откуда: Камень-на-Оби
Имя: Евгений
Контактная информация:

Тестирование версии 8.х

#397

Сообщение DrMario » 04.02.2023{, 11:11}

К сожалению при внесении изменений в дереве проекта оно не обновляется. Это лаг тянется еще с версий старше 750. Приходится лишний раз нырять в настройки программы и кликать по Готово.

Аватара пользователя
Dryundel
Полковник
Сообщения: 2401
Зарегистрирован: 22.05.2017{, 23:15}
Репутация: 783
Откуда: Ярославль
Имя: Андрей
Контактная информация:

Тестирование версии 8.х

#398

Сообщение Dryundel » 04.02.2023{, 11:28}

DrMario писал(а):
04.02.2023{, 11:11}
К сожалению при внесении изменений в дереве проекта оно не обновляется. Это лаг тянется еще с версий старше 750. Приходится лишний раз нырять в настройки программы и кликать по Готово.
Есть такая печаль.
Создайте (откройте) ещё один пустой (другой) проект. Простое переключение на другой проект и обратно помогает.

petrmorukov
Рядовой
Сообщения: 2
Зарегистрирован: 03.10.2021{, 18:33}
Репутация: 0
Имя: Петр

Тестирование версии 8.х

#399

Сообщение petrmorukov » 04.02.2023{, 17:36}

support, Добрый день.
Не работает штатный блок WebServerPage. Программа закрывается при попытке компиляции, при включении просмотра кода - пустая страница. На 8.0.2 тоже самое. До этого на седьмой версии работал блок.

Аватара пользователя
support
Супермодератор
Сообщения: 1900
Зарегистрирован: 03.01.2018{, 11:45}
Репутация: 790
Откуда: Астрахань
Имя: Сергей
Контактная информация:

Тестирование версии 8.х

#400

Сообщение support » 05.02.2023{, 17:45}

Kot писал(а):
29.01.2023{, 13:12}
support, что то не так

2023-01-29_170628.png

дс.flp


Исправил

Отправлено спустя 2 часа 3 минуты 54 секунды:
Stranger писал(а):
29.01.2023{, 17:00}
Актуально для версии 8.1.0
Вот такая вот ошибка выскакивает при компиляции. На компиляцию не влияет, работает. В версии 8.0.0 этого не было.

Exception in thread "AWT-EventQueue-0" Exception in thread "Thread-14" java.lang.NullPointerException

При установке блока нессимитричного генератора с одним внешним входом для паузы нет компиляции с ошибкой:
C:\Users\User\AppData\Local\Temp\flprog\pr6\pr6.ino: In function 'void loop()':
pr6:1289:383: error: '_isTimer' was not declared in this scope
if (( (_Terminal_219496836) && ((_Terminal_35616483) <= (_Terminal_37642468)) && (!(_tim4O)) )) {if (! _gen14I) { _gen14I = 1; _gen14O = 1; _gen14P = millis(); } } else { _gen14I = 0 ; _gen14O= 0; } if (_gen14I ) { if (_gen14O) { if ( flprog::isTimer( _gen14P , 500 )) { _gen14P = millis(); _gen14O = 0; } } else { if ( _isTimer( _gen14P , ((_menuValueArray_int[11]))*(60000L) )) { _gen14P = millis(); _gen14O = 1; } } }
Исправвил

Отправлено спустя 1 час 35 минут 44 секунды:
Stranger писал(а):
29.01.2023{, 17:00}
Актуально для версии 8.1.0
Вот такая вот ошибка выскакивает при компиляции. На компиляцию не влияет, работает. В версии 8.0.0 этого не было.

Exception in thread "AWT-EventQueue-0" Exception in thread "Thread-14" java.lang.NullPointerException

При установке блока нессимитричного генератора с одним внешним входом для паузы нет компиляции с ошибкой:
C:\Users\User\AppData\Local\Temp\flprog\pr6\pr6.ino: In function 'void loop()':
pr6:1289:383: error: '_isTimer' was not declared in this scope
if (( (_Terminal_219496836) && ((_Terminal_35616483) <= (_Terminal_37642468)) && (!(_tim4O)) )) {if (! _gen14I) { _gen14I = 1; _gen14O = 1; _gen14P = millis(); } } else { _gen14I = 0 ; _gen14O= 0; } if (_gen14I ) { if (_gen14O) { if ( flprog::isTimer( _gen14P , 500 )) { _gen14P = millis(); _gen14O = 0; } } else { if ( _isTimer( _gen14P , ((_menuValueArray_int[11]))*(60000L) )) { _gen14P = millis(); _gen14O = 1; } } }
Исправил

Отправлено спустя 52 секунды:
chmak2007 писал(а):
29.01.2023{, 15:47}
СпойлерПоказать
Arduino: 1.8.19 (Windows 10), Плата:"Generic STM32F1 series, BluePill F103C8, Maple DFU Bootloader 2.0, Enabled (generic 'Serial'), CDC (generic 'Serial' supersede U(S)ART), Low/Full Speed, Smallest (-Os default), None, Newlib Nano (default)"

c:/program files (x86)/flprog/idev8/portable/packages/stmicroelectronics/tools/xpack-arm-none-eabi-gcc/10.3.1-2.3/bin/../lib/gcc/arm-none-eabi/10.3.1/../../../../arm-none-eabi/bin/ld.exe: sketch\objs.a(pr6.ino.cpp.o): in function `_GLOBAL__sub_I_flprogSerial2':

pr6.ino.cpp:(.text.startup._GLOBAL__sub_I_flprogSerial2+0xd8): undefined reference to `Serial2'

collect2.exe: error: ld returned 1 exit status

Несколько библиотек найдено для "SoftwareSerial.h"

Используется: C:\Program Files (x86)\FLProg\ideV8\portable\packages\STMicroelectronics\hardware\stm32\2.4.0\libraries\SoftwareSerial

Не используется: c:\Program Files (x86)\FLProg\ideV8\portable\sketchbook\libraries\Esp32-SoftwareSerial

Не используется: c:\Program Files (x86)\FLProg\ideV8\portable\sketchbook\libraries\SoftwareSerial

Несколько библиотек найдено для "Ethernet.h"

Используется: c:\Program Files (x86)\FLProg\ideV8\portable\sketchbook\libraries\Ethernet

Не используется: c:\Program Files (x86)\FLProg\ideV8\libraries\Ethernet

Несколько библиотек найдено для "SPI.h"

Используется: C:\Program Files (x86)\FLProg\ideV8\portable\packages\STMicroelectronics\hardware\stm32\2.4.0\libraries\SPI

Не используется: c:\Program Files (x86)\FLProg\ideV8\portable\sketchbook\libraries\SPI

Несколько библиотек найдено для "Wire.h"

Используется: C:\Program Files (x86)\FLProg\ideV8\portable\packages\STMicroelectronics\hardware\stm32\2.4.0\libraries\Wire

Не используется: c:\Program Files (x86)\FLProg\ideV8\portable\sketchbook\libraries\Wire

exit status 1

Ошибка компиляции для платы Generic STM32F1 series.
Когда выбираешь Serial0, Serial1, Serial2, Serial3 в настройках modbus выходит ошибка
Исправил

Отправлено спустя 26 минут 3 секунды:
vovka1973 писал(а):
31.01.2023{, 14:41}
Версия 8.1.0 не работает веб интерфейс встроенный компиляция не проходит ,проект пустой просто создал сеть и включил веб интерфейс .
support в версии 8.0.2 работает веб сервер.
Исправленно

Отправлено спустя 4 минуты 58 секунд:
petrmorukov писал(а):
04.02.2023{, 17:36}
support, Добрый день.
Не работает штатный блок WebServerPage. Программа закрывается при попытке компиляции, при включении просмотра кода - пустая страница. На 8.0.2 тоже самое. До этого на седьмой версии работал блок.
Нужен проект. У меня работает
Автор программы FLProg.

Ответить

Вернуться в «Темы от автора»