Страница 1 из 2

D-триггер

Добавлено: 05.06.2016{, 12:44}
ВиД
D-триггер как пользовательский блок не работает. Кто пробовал собирать блоки в boolean? Помогите.
Как файл .flp работает

D-триггер

Добавлено: 05.06.2016{, 13:32}
support
Самый простой D - тригер - это переменная типа Boolean.


D-триггер

Добавлено: 05.06.2016{, 14:11}
ВиД
Спасибо, Сергей. А как RS-входы сюда соединить? Чтоб аналог ТМ2 получился.

D-триггер

Добавлено: 05.06.2016{, 14:40}
support
Думаю так


D-триггер

Добавлено: 05.06.2016{, 18:03}
ВиД
Нет, получается Q как D, промодулированный С, даже в .flp

D-триггер

Добавлено: 06.06.2016{, 10:04}
Sancho
Я тоже хотел сделать нечто похожее на ТМ2, но в FLP не получилось. Пришлось в Arduino Ide доделывать - напрямую управлять переменной выхода тригера.
Делал управление выходом по кнопке и(или) modbus-у. Получилось.
PS. Определить-бы переменную выхода тригера как вход/выход - вот и ТМ2 почти...

D-триггер

Добавлено: 06.06.2016{, 10:25}
scadapack
Всё должно работать, как в примере у Автора, только надо триггер одиночного импульса RTrig поставить на вход "С", иначе данные постоянно переписываются при "true" на En.

D-триггер

Добавлено: 06.06.2016{, 13:51}
ВиД
Нет, Q получается  как D, промодулированный укороченными импульсами С

D-триггер

Добавлено: 06.06.2016{, 13:52}
ВиД
и ещё

D-триггер

Добавлено: 06.06.2016{, 15:31}
Boroda
Вот такую реализацию попробуйте. Работает по переднему фронту на входе С.

D-триггер

Добавлено: 07.06.2016{, 11:45}
ВиД
Boroda писал(а):Вот такую реализацию попробуйте. Работает по переднему фронту на входе С.
Работает. Только подтягивающий резистор на S_ вход поставить. Если нетрудно, инвертируйте в самом блоке RS-входы и добавьте инверсный Q-выход, пожалуйста. Тогда у нас будет полноценный ТМ2 (7474). Блок реализован Boroda в программных кодах. А ведь Flprog инструмент для непрограммистов... 

Некоторые замечания по FlProg:
D-триггер (собранный на 3И-НЕ и как блок на СИ) правильно работает при длительности импульса на входах, не более С=0.1с и D=1с. Задержка по фронтам D и Q  40-80 миллисекунд!  
Нет возможности скопированные элементы переместить в поле редактора блока пользователя.
Распечатать проект можно только через PrintScreen.
Слово "Тригер" в библиотеке элементов.

D-триггер

Добавлено: 07.06.2016{, 13:04}
Boroda
Судя по документации на 561ТМ2 все входы у микросхемы не инверсные.
А у 7474 (155ТМ2) R и S инверсные. Чтобы не плодить блоки, проще на входе R и S нажать правой кнопкой и выбрать "инвертировать", кстати так даже нагляднее будет на схеме смотреться.

Добавил инверсный выход к блоку.
Бонус - блок делителя частоты с К=1..255.

З.Ы. FLProg нечто более грандиозное! Вот Siemens LOGO со своим SoftComfort - инструмент для непрограммистов, там только стандартные коробочки и ничего своего толком не сделаешь.

D-триггер

Добавлено: 08.06.2016{, 11:34}
ВиД
Boroda писал(а):Судя по документации на 561ТМ2 все входы у микросхемы не инверсные.А у 7474 (155ТМ2) R и S инверсные. Чтобы не плодить блоки, проще на входе R и S нажать правой кнопкой и выбрать "инвертировать", кстати так даже нагляднее будет на схеме смотреться.
Справедливо. Спасибо за помощь!
Ещё к Вам вопрос, не по теме. Есть такая задача: существует сигнал (на картинке - красный) около 40кГц, при отсутствии в нём пяти импульсов подряд появляется импульс аварии (фиолетовый). Это смоделировано в   Multisime на TTL-логике.  Для FlProg это пустячная задача, но частота! Что посоветуете?

D-триггер

Добавлено: 08.06.2016{, 12:11}
Rovki
Что нам Сименс в ОЛ есть классический динамический Д триггер .а так же макросы и симулятор.

D-триггер

Добавлено: 08.06.2016{, 13:36}
ВиД
Rovki писал(а):Что нам Сименс в ОЛ есть классический динамический Д триггер .а так же макросы и симулятор.
ОЛ? Поподробнее, пожалуйста.

D-триггер

Добавлено: 08.06.2016{, 14:08}
Rovki
ВиД писал(а):ОЛ? Поподробнее, пожалуйста.
Овен лоджик это для ПР.Не ардуино

D-триггер

Добавлено: 08.06.2016{, 16:32}
Boroda
Rovki писал(а):Что нам Сименс
Просто на релюшках сименса собрать без проблем систему можно, а вот у Овена только голова от релюшек есть, а модулей ввода/вывода пока не выпустили. Вот наш главный инженер и сидит на немцах, в крайнем случае на китайцах xLogic, но у последних сертификата на газ нет. Более сложные шкафы на ПЛК110-60 собираем.

D-триггер

Добавлено: 09.06.2016{, 20:40}
Rovki
Вы ошибаетесь к ПР200 можно подключить все модули ввода вывода по RS485, а скоро будут модули расширения по внутренней шине.

D-триггер

Добавлено: 10.06.2016{, 02:55}
Boroda
Вот про внутреннюю шину и говорю. Заказчик как увидит ПР200 + МВ110 на одной рейке рядом стоящие и по щам надает. Как говорится "некрасивая система будет плохо работать".

П.С. МВ110 по 485 интегрируются в систему ОвенЛоджик или опять надо как в ПЛК в "конфигурации" регистры тыкать?

D-триггер

Добавлено: 12.06.2016{, 11:46}
Batman55
Прочит и так и не понял, есть Д-триггер в программе или нет?
Или нужно собирать самому?