Страница 1 из 1

Вопрос по сбросу выходов

Добавлено: 24.09.2016{, 12:53}
fulky
Делаю небольшой проект в ФЛпроге, необходимо после отработки программы шагового двигателя сбросить все выхода (7,8,9,10) т.е. сделать на них логический ноль, чтоб движок не грелся. Каким-то блоком такое возможно сделать? помогите , а то никак не получается.

Вопрос по сбросу выходов

Добавлено: 25.09.2016{, 11:33}
confiden
Скиньте сюда своей проект. Посмотрим чем помочь можно вам

Вопрос по сбросу выходов

Добавлено: 25.09.2016{, 13:12}
Олег
Один из вариантов значение выходов брать из переменных, при остановке двигателя во все переменные запишите ноль.